Back to posts Edit this post
Copy content

12 Jun 12:26

//------------------------------------------------------------------------------- // top.v //------------------------------------------------------------------------------- module top ( input wire CLK_PCB, input wire nRST_PCB, input wire ROAD_DET, output wire ROAD_RED, output wire ROAD_YELLOW, output wire ROAD_GREEN, input wire PED_BUTT, output wire PED_RED, output wire PED_GREEN, output wire [3:0] LED ); // synchronizacja resetu wire CLK = CLK_PCB; wire RST_PCB = ~nRST_PCB; wire RST_async, RST; assign RST_async = RST_PCB; rst_synch_bridge rst_bridge ( .I_RST(RST_async), .CLK (CLK), .O_RST(RST) ); // heartbeat dla LED reg [31:0] heartbeat_clk; always @(posedge CLK or posedge RST) begin if (RST) heartbeat_clk <= 0; else heartbeat_clk <= heartbeat_clk + 1; end // wyświetlacz statusu na 4 LED // [LED3]=!ROAD_DET, [LED2]=!PED_BUTT, [LED1]=!RST, [LED0]=!heartbeat_clk[27] assign LED = ~{ ROAD_DET, PED_BUTT, RST, heartbeat_clk[27] }; // instancja maszyny stanowej sterującej światłami automat #( .TICK_LENGTH(50000 - 1) ) u_automat ( .RST (RST), .CLK (CLK), .P_P (PED_BUTT), .CAR (ROAD_DET), .CR (ROAD_RED), .CY (ROAD_YELLOW), .CG (ROAD_GREEN), .PR (PED_RED), .PG (PED_GREEN), .BUZZ () // jeżeli nie masz brzęczyka, zostaw puste ); endmodule //------------------------------------------------------------------------------- // automat.v //------------------------------------------------------------------------------- module automat #( parameter TICK_LENGTH = 50000 - 1 // licznik sub-sekundowy )( input wire RST, // asynchroniczny reset input wire CLK, // taktowanie input wire P_P, // przycisk pieszy (niewykorzystany) input wire CAR, // wykrycie pojazdu (niewykorzystane) output wire CR, // czerwone dla aut output wire CY, // żółte dla aut output wire CG, // zielone dla aut output wire PR, // czerwone dla pieszych output wire PG, // zielone dla pieszych output wire BUZZ // brzęczyk ); reg [31:0] COUNT_SEC; // sub-sekundowy dzielnik reg [31:0] COUNT; // licznik sekund reg [2:0] NEXT_STATE; // kolejny stan // standardowy proces z zegarem i asynchronicznym resetem always @(posedge CLK or posedge RST) begin if (RST) begin COUNT_SEC <= TICK_LENGTH; COUNT <= 8; NEXT_STATE <= 0; end else begin if (COUNT_SEC == 0) begin COUNT_SEC <= TICK_LENGTH; if (COUNT == 0) begin COUNT <= 8; NEXT_STATE <= NEXT_STATE + 1; end else begin COUNT <= COUNT - 1; end end else begin COUNT_SEC <= COUNT_SEC - 1; end end end // wyciągnięcie aktualnego stanu wire [2:0] STATE = NEXT_STATE; // mapowanie stanu na sygnały LED i BUZZ stan2LED s2l ( .STATE(STATE), .CR (CR), .CY (CY), .CG (CG), .PR (PR), .PG (PG), .BUZZ (BUZZ) ); endmodule //------------------------------------------------------------------------------- // stan2LED.v //------------------------------------------------------------------------------- module stan2LED ( input wire [2:0] STATE, output reg CR, output reg CY, output reg CG, output reg PR, output reg PG, output reg BUZZ ); always @(*) begin // domyślnie wszystko off CR = 0; CY = 0; CG = 0; PR = 0; PG = 0; BUZZ = 0; case (STATE) 0: begin // idle end 1: begin // czerwone auta + czerwone piesi CR = 1; PR = 1; end 2: begin // czerwone auta + żółte auta + czerwone piesi CR = 1; CY = 1; PR = 1; end 3: begin // zielone auta + czerwone piesi CG = 1; PR = 1; end 4: begin // żółte auta + czerwone piesi CY = 1; PR = 1; end 5: begin // znowu czerwone auta + czerwone piesi CR = 1; PR = 1; end 6: begin // czerwone auta + zielone piesi CR = 1; PG = 1; end 7: begin // czerwone auta + piesi czekają + brzęczyk CR = 1; BUZZ = 1; end endcase end endmodule

No files